Главная : Расширения файлов : .sv File

.sv Расширение файла

Тип файлаSystemVerilog Source Code File

Разработчик IEEE
Категория Файлы разработчиков
Формат Text

.SV вариант №

Файл SV - это файл исходного кода, написанный на языке SystemVerilog, который является надмножеством языка Verilog, используемого для определения моделей электронных систем. Он содержит исходный код SystemVerilog.

Больше информации

Файл SV открыт в Sigasi Studio 3.8

Вы можете открывать файлы SV в любом текстовом редакторе. Однако вы можете использовать редактор, специально разработанный для обработки исходного кода SystemVerilog, такого как Sigasi Studio или ModelSim.

SystemVerilog используется в полупроводниковой и электронной промышленности. Это сочетание характеристик языка аппаратного описания (HDL) и функций проверки аппаратного обеспечения (HVL) с функциями C и C ++. Он был принят как стандарт IEEE 1800-2005 в 2005 году, стандарт IEEE 1800-2009 в 2009 году и IEEE 1800-2017 в 2017 году.

ПРИМЕЧАНИЕ. IEEE выступает за Институт инженеров по электротехнике и электронике.

Программы, которые открывают SV файлы
Windows
Mentor Graphics ModelSim
Linux
Sigasi Studio

О SV файлах

Наша цель - помочь вам понять за что отвечает файл с расширением * .sv и как его открыть.

Тип файла SystemVerilog Source Code File, описания программ для Mac, Windows, Linux, Android и iOS, перечисленные на этой странице, были индивидуально исследованы и проверены командой FileExt. Мы стремимся к 100-процентной точности и публикуем только информацию о форматах файлов, которые мы тестировали и проверяли.